[Simh] Build under Visual C++ 8.

Bill Beech (NJ7P) nj7p at nj7p.org
Tue Feb 5 16:16:52 EST 2008


No, all the simh files and pdp files compiled with the normal warnings 
for the string functions....:

1>------ Build started: Project: pdp8, Configuration: Debug Win32 ------
1>Compiling...
1>scp.c
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 8\emulators\simh-3.7.3\simh\scp.c(603) 
: warning C4996: 'strcat': This function or variable may be unsafe. 
Consider using strcat_s instead. To disable deprecation, use 
_CRT_SECURE_NO_WARNINGS. See online help for details.
1>        c:\program files\microsoft visual studio 
8\vc\include\string.h(79) : see declaration of 'strcat'
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 8\emulators\simh-3.7.3\simh\scp.c(604) 
: warning C4996: 'strcat': This function or variable may be unsafe. 
Consider using strcat_s instead. To disable deprecation, use 
_CRT_SECURE_NO_WARNINGS. See online help for details.
1>        c:\program files\microsoft visual studio 
8\vc\include\string.h(79) : see declaration of 'strcat'
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 8\emulators\simh-3.7.3\simh\scp.c(652) 
: warning C4996: 'strncpy': This function or variable may be unsafe. 
Consider using strncpy_s instead. To disable deprecation, use 
_CRT_SECURE_NO_WARNINGS. See online help for details.
1>        c:\program files\microsoft visual studio 
8\vc\include\string.h(157) : see declaration of 'strncpy'
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 8\emulators\simh-3.7.3\simh\scp.c(654) 
: warning C4996: 'strcat': This function or variable may be unsafe. 
Consider using strcat_s instead. To disable deprecation, use 
_CRT_SECURE_NO_WARNINGS. See online help for details.
1>        c:\program files\microsoft visual studio 
8\vc\include\string.h(79) : see declaration of 'strcat'
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 8\emulators\simh-3.7.3\simh\scp.c(749) 
: warning C4996: 'getenv': This function or variable may be unsafe. 
Consider using _dupenv_s instead. To disable deprecation, use 
_CRT_SECURE_NO_WARNINGS. See online help for details.
1>        c:\program files\microsoft visual studio 
8\vc\include\stdlib.h(483) : see declaration of 'getenv'
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 8\emulators\simh-3.7.3\simh\scp.c(750) 
: warning C4996: 'getenv': This function or variable may be unsafe. 
Consider using _dupenv_s instead. To disable deprecation, use 
_CRT_SECURE_NO_WARNINGS. See online help for details.
1>        c:\program files\microsoft visual studio 
8\vc\include\stdlib.h(483) : see declaration of 'getenv'
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 8\emulators\simh-3.7.3\simh\scp.c(829) 
: warning C4996: 'fopen': This function or variable may be unsafe. 
Consider using fopen_s instead. To disable deprecation, use 
_CRT_SECURE_NO_WARNINGS. See online help for details.
1>        c:\program files\microsoft visual studio 
8\vc\include\stdio.h(234) : see declaration of 'fopen'
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 8\emulators\simh-3.7.3\simh\scp.c(917) 
: warning C4996: 'strcpy': This function or variable may be unsafe. 
Consider using strcpy_s instead. To disable deprecation, use 
_CRT_SECURE_NO_WARNINGS. See online help for details.
1>        c:\program files\microsoft visual studio 
8\vc\include\string.h(74) : see declaration of 'strcpy'
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 8\emulators\simh-3.7.3\simh\scp.c(1328) 
: warning C4244: 'initializing' : conversion from '__w64 int' to 
'int32', possible loss of data
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 8\emulators\simh-3.7.3\simh\scp.c(1779) 
: warning C4996: 'strncpy': This function or variable may be unsafe. 
Consider using strncpy_s instead. To disable deprecation, use 
_CRT_SECURE_NO_WARNINGS. See online help for details.
1>        c:\program files\microsoft visual studio 
8\vc\include\string.h(157) : see declaration of 'strncpy'
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 8\emulators\simh-3.7.3\simh\scp.c(1819) 
: warning C4267: '=' : conversion from 'size_t' to 'uint32', possible 
loss of data
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 8\emulators\simh-3.7.3\simh\scp.c(1958) 
: warning C4996: 'strncpy': This function or variable may be unsafe. 
Consider using strncpy_s instead. To disable deprecation, use 
_CRT_SECURE_NO_WARNINGS. See online help for details.
1>        c:\program files\microsoft visual studio 
8\vc\include\string.h(157) : see declaration of 'strncpy'
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 8\emulators\simh-3.7.3\simh\scp.c(2174) 
: warning C4996: 'sscanf': This function or variable may be unsafe. 
Consider using sscanf_s instead. To disable deprecation, use 
_CRT_SECURE_NO_WARNINGS. See online help for details.
1>        c:\program files\microsoft visual studio 
8\vc\include\stdio.h(311) : see declaration of 'sscanf'
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 8\emulators\simh-3.7.3\simh\scp.c(2262) 
: warning C4267: '=' : conversion from 'size_t' to 'int32', possible 
loss of data
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 8\emulators\simh-3.7.3\simh\scp.c(2911) 
: warning C4267: 'function' : conversion from 'size_t' to 't_addr', 
possible loss of data
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 8\emulators\simh-3.7.3\simh\scp.c(2990) 
: warning C4267: 'function' : conversion from 'size_t' to 't_addr', 
possible loss of data
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 8\emulators\simh-3.7.3\simh\scp.c(3258) 
: warning C4996: 'strncpy': This function or variable may be unsafe. 
Consider using strncpy_s instead. To disable deprecation, use 
_CRT_SECURE_NO_WARNINGS. See online help for details.
1>        c:\program files\microsoft visual studio 
8\vc\include\string.h(157) : see declaration of 'strncpy'
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 8\emulators\simh-3.7.3\simh\scp.c(3437) 
: warning C4244: '=' : conversion from '__w64 int' to 'uint32', possible 
loss of data
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 8\emulators\simh-3.7.3\simh\scp.c(3613) 
: warning C4244: '=' : conversion from '__w64 int' to 'int32', possible 
loss of data
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 8\emulators\simh-3.7.3\simh\scp.c(3619) 
: warning C4244: '=' : conversion from '__w64 int' to 'int32', possible 
loss of data
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 8\emulators\simh-3.7.3\simh\scp.c(3621) 
: warning C4267: '=' : conversion from 'size_t' to 'int32', possible 
loss of data
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 8\emulators\simh-3.7.3\simh\scp.c(4106) 
: warning C4996: 'strncpy': This function or variable may be unsafe. 
Consider using strncpy_s instead. To disable deprecation, use 
_CRT_SECURE_NO_WARNINGS. See online help for details.
1>        c:\program files\microsoft visual studio 
8\vc\include\string.h(157) : see declaration of 'strncpy'
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 8\emulators\simh-3.7.3\simh\scp.c(4225) 
: warning C4996: 'strncpy': This function or variable may be unsafe. 
Consider using strncpy_s instead. To disable deprecation, use 
_CRT_SECURE_NO_WARNINGS. See online help for details.
1>        c:\program files\microsoft visual studio 
8\vc\include\string.h(157) : see declaration of 'strncpy'
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 8\emulators\simh-3.7.3\simh\scp.c(4370) 
: warning C4996: '_vsnprintf': This function or variable may be unsafe. 
Consider using _vsnprintf_s instead. To disable deprecation, use 
_CRT_SECURE_NO_WARNINGS. See online help for details.
1>        c:\program files\microsoft visual studio 
8\vc\include\stdio.h(339) : see declaration of '_vsnprintf'
1>sim_console.c
1>sim_ether.c
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\simh\sim_ether.c(258) : warning C4267: 
'initializing' : conversion from 'size_t' to 'int', possible loss of data
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\simh\sim_ether.c(268) : warning C4996: 'strcpy': 
This function or variable may be unsafe. Consider using strcpy_s 
instead. To disable deprecation, use _CRT_SECURE_NO_WARNINGS. See online 
help for details.
1>        c:\program files\microsoft visual studio 
8\vc\include\string.h(74) : see declaration of 'strcpy'
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\simh\sim_ether.c(280) : warning C4267: 
'initializing' : conversion from 'size_t' to 'int', possible loss of data
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\simh\sim_ether.c(282) : warning C4996: 'sscanf': 
This function or variable may be unsafe. Consider using sscanf_s 
instead. To disable deprecation, use _CRT_SECURE_NO_WARNINGS. See online 
help for details.
1>        c:\program files\microsoft visual studio 
8\vc\include\stdio.h(311) : see declaration of 'sscanf'
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\simh\sim_ether.c(301) : warning C4996: 'sprintf': 
This function or variable may be unsafe. Consider using sprintf_s 
instead. To disable deprecation, use _CRT_SECURE_NO_WARNINGS. See online 
help for details.
1>        c:\program files\microsoft visual studio 
8\vc\include\stdio.h(345) : see declaration of 'sprintf'
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\simh\sim_ether.c(435) : warning C4996: 'strcpy': 
This function or variable may be unsafe. Consider using strcpy_s 
instead. To disable deprecation, use _CRT_SECURE_NO_WARNINGS. See online 
help for details.
1>        c:\program files\microsoft visual studio 
8\vc\include\string.h(74) : see declaration of 'strcpy'
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\simh\sim_ether.c(444) : warning C4267: 
'initializing' : conversion from 'size_t' to 'int', possible loss of data
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\simh\sim_ether.c(448) : warning C4267: 
'initializing' : conversion from 'size_t' to 'int', possible loss of data
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\simh\sim_ether.c(457) : warning C4996: 'strcpy': 
This function or variable may be unsafe. Consider using strcpy_s 
instead. To disable deprecation, use _CRT_SECURE_NO_WARNINGS. See online 
help for details.
1>        c:\program files\microsoft visual studio 
8\vc\include\string.h(74) : see declaration of 'strcpy'
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\simh\sim_ether.c(492) : warning C4267: '=' : 
conversion from 'size_t' to 'int', possible loss of data
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\simh\sim_ether.c(496) : warning C4996: 'strcpy': 
This function or variable may be unsafe. Consider using strcpy_s 
instead. To disable deprecation, use _CRT_SECURE_NO_WARNINGS. See online 
help for details.
1>        c:\program files\microsoft visual studio 
8\vc\include\string.h(74) : see declaration of 'strcpy'
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\simh\sim_ether.c(527) : warning C4267: '=' : 
conversion from 'size_t' to 'int', possible loss of data
1>sim_fio.c
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\simh\sim_fio.c(92) : warning C4267: '=' : 
conversion from 'size_t' to 'int32', possible loss of data
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\simh\sim_fio.c(118) : warning C4267: '=' : 
conversion from 'size_t' to 'int32', possible loss of data
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\simh\sim_fio.c(121) : warning C4267: '=' : 
conversion from 'size_t' to 'int32', possible loss of data
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\simh\sim_fio.c(168) : warning C4996: 'fopen': 
This function or variable may be unsafe. Consider using fopen_s instead. 
To disable deprecation, use _CRT_SECURE_NO_WARNINGS. See online help for 
details.
1>        c:\program files\microsoft visual studio 
8\vc\include\stdio.h(234) : see declaration of 'fopen'
1>sim_sock.c
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\simh\sim_sock.c(158) : warning C4244: '=' : 
conversion from 'SOCKET' to 'int32', possible loss of data
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\simh\sim_sock.c(180) : warning C4244: '=' : 
conversion from 'SOCKET' to 'int32', possible loss of data
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\simh\sim_sock.c(218) : warning C4244: '=' : 
conversion from 'SOCKET' to 'int32', possible loss of data
1>sim_tape.c
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\simh\sim_tape.c(391) : warning C4267: '=' : 
conversion from 'size_t' to 't_mtrlnt', possible loss of data
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\simh\sim_tape.c(433) : warning C4267: '=' : 
conversion from 'size_t' to 't_mtrlnt', possible loss of data
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\simh\sim_tape.c(877) : warning C4267: '=' : 
conversion from 'size_t' to 'uint32', possible loss of data
1>sim_timer.c
1>sim_tmxr.c
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\simh\sim_tmxr.c(459) : warning C4996: 'strcpy': 
This function or variable may be unsafe. Consider using strcpy_s 
instead. To disable deprecation, use _CRT_SECURE_NO_WARNINGS. See online 
help for details.
1>        c:\program files\microsoft visual studio 
8\vc\include\string.h(74) : see declaration of 'strcpy'
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\simh\sim_tmxr.c(514) : warning C4267: 'function' 
: conversion from 'size_t' to 'int32', possible loss of data
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\simh\sim_tmxr.c(522) : warning C4267: '=' : 
conversion from 'size_t' to 'int32', possible loss of data
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\simh\sim_tmxr.c(612) : warning C4996: 'strncpy': 
This function or variable may be unsafe. Consider using strncpy_s 
instead. To disable deprecation, use _CRT_SECURE_NO_WARNINGS. See online 
help for details.
1>        c:\program files\microsoft visual studio 
8\vc\include\string.h(157) : see declaration of 'strncpy'
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\simh\sim_tmxr.c(613) : warning C4996: 'fopen': 
This function or variable may be unsafe. Consider using fopen_s instead. 
To disable deprecation, use _CRT_SECURE_NO_WARNINGS. See online help for 
details.
1>        c:\program files\microsoft visual studio 
8\vc\include\stdio.h(234) : see declaration of 'fopen'
1>pdp8_clk.c
1>pdp8_cpu.c
1>pdp8_ct.c
1>pdp8_df.c
1>pdp8_dt.c
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\pdp8\pdp8_dt.c(690) : warning C4244: 
'initializing' : conversion from '__w64 int' to 'int32', possible loss 
of data
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\pdp8\pdp8_dt.c(1174) : warning C4244: 
'initializing' : conversion from '__w64 int' to 'int32', possible loss 
of data
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\pdp8\pdp8_dt.c(1208) : warning C4267: '=' : 
conversion from 'size_t' to 'uint32', possible loss of data
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\pdp8\pdp8_dt.c(1212) : warning C4267: '=' : 
conversion from 'size_t' to 'int32', possible loss of data
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\pdp8\pdp8_dt.c(1215) : warning C4267: '=' : 
conversion from 'size_t' to 'int32', possible loss of data
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\pdp8\pdp8_dt.c(1247) : warning C4244: 
'initializing' : conversion from '__w64 int' to 'int32', possible loss 
of data
1>pdp8_lp.c
1>pdp8_mt.c
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\pdp8\pdp8_mt.c(612) : warning C4244: 
'initializing' : conversion from '__w64 int' to 'int32', possible loss 
of data
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\pdp8\pdp8_mt.c(625) : warning C4244: 
'initializing' : conversion from '__w64 int' to 'int32', possible loss 
of data
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\pdp8\pdp8_mt.c(637) : warning C4244: 
'initializing' : conversion from '__w64 int' to 'int32', possible loss 
of data
1>pdp8_pt.c
1>pdp8_rf.c
1>pdp8_rk.c
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\pdp8\pdp8_rk.c(366) : warning C4267: '=' : 
conversion from 'size_t' to 'int32', possible loss of data
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\pdp8\pdp8_rk.c(371) : warning C4267: '=' : 
conversion from 'size_t' to 'int32', possible loss of data
1>pdp8_rl.c
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\pdp8\pdp8_rl.c(470) : warning C4267: '=' : 
conversion from 'size_t' to 'int32', possible loss of data
1>pdp8_rx.c
1>Generating Code...
1>Compiling...
1>pdp8_sys.c
1>pdp8_td.c
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\pdp8\pdp8_td.c(449) : warning C4244: 
'initializing' : conversion from '__w64 int' to 'int32', possible loss 
of data
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\pdp8\pdp8_td.c(754) : warning C4244: 
'initializing' : conversion from '__w64 int' to 'int32', possible loss 
of data
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\pdp8\pdp8_td.c(788) : warning C4267: '=' : 
conversion from 'size_t' to 'uint32', possible loss of data
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\pdp8\pdp8_td.c(792) : warning C4267: '=' : 
conversion from 'size_t' to 'int32', possible loss of data
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\pdp8\pdp8_td.c(795) : warning C4267: '=' : 
conversion from 'size_t' to 'int32', possible loss of data
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\pdp8\pdp8_td.c(838) : warning C4244: 
'initializing' : conversion from '__w64 int' to 'int32', possible loss 
of data
1>pdp8_tsc.c
1>pdp8_tt.c
1>pdp8_ttx.c
1>c:\documents and settings\nj7p.dogpatch\my 
documents\drivec\software\visual 
8\emulators\simh-3.7.3\pdp8\pdp8_ttx.c(314) : warning C4244: 
'initializing' : conversion from '__w64 int' to 'int32', possible loss 
of data
1>Generating Code...
1>Compiling manifest to resources...
1>Linking...
1>sim_ether.obj : error LNK2019: unresolved external symbol 
__imp__htonl at 4 referenced in function _eth_add_crc32
1>sim_sock.obj : error LNK2001: unresolved external symbol __imp__htonl at 4
1>sim_ether.obj : error LNK2019: unresolved external symbol 
__imp__ntohs at 4 referenced in function _eth_packet_trace_ex
1>sim_sock.obj : error LNK2019: unresolved external symbol 
__imp__WSAGetLastError at 0 referenced in function _sim_err_sock
1>sim_sock.obj : error LNK2019: unresolved external symbol 
__imp__socket at 12 referenced in function _sim_create_sock
1>sim_sock.obj : error LNK2019: unresolved external symbol 
__imp__WSAStartup at 8 referenced in function _sim_create_sock
1>sim_sock.obj : error LNK2019: unresolved external symbol 
__imp__listen at 8 referenced in function _sim_master_sock
1>sim_sock.obj : error LNK2019: unresolved external symbol 
__imp__bind at 12 referenced in function _sim_master_sock
1>sim_sock.obj : error LNK2019: unresolved external symbol 
__imp__htons at 4 referenced in function _sim_master_sock
1>sim_sock.obj : error LNK2019: unresolved external symbol 
__imp__connect at 12 referenced in function _sim_connect_sock
1>sim_sock.obj : error LNK2019: unresolved external symbol 
__imp__ntohl at 4 referenced in function _sim_accept_conn
1>sim_sock.obj : error LNK2019: unresolved external symbol 
__imp__accept at 12 referenced in function _sim_accept_conn
1>sim_sock.obj : error LNK2019: unresolved external symbol 
___WSAFDIsSet at 8 referenced in function _sim_check_conn
1>sim_sock.obj : error LNK2019: unresolved external symbol 
__imp__select at 20 referenced in function _sim_check_conn
1>sim_sock.obj : error LNK2019: unresolved external symbol 
__imp__recv at 16 referenced in function _sim_read_sock
1>sim_sock.obj : error LNK2019: unresolved external symbol 
__imp__send at 16 referenced in function _sim_write_sock
1>sim_sock.obj : error LNK2019: unresolved external symbol 
__imp__WSACleanup at 0 referenced in function _sim_close_sock
1>sim_sock.obj : error LNK2019: unresolved external symbol 
__imp__closesocket at 4 referenced in function _sim_close_sock
1>sim_sock.obj : error LNK2019: unresolved external symbol 
__imp__ioctlsocket at 12 referenced in function _sim_setnonblock
1>sim_timer.obj : error LNK2019: unresolved external symbol 
__imp__timeGetTime at 0 referenced in function _sim_os_msec
1>sim_timer.obj : error LNK2019: unresolved external symbol 
__imp__timeEndPeriod at 4 referenced in function _sim_timer_exit
1>sim_timer.obj : error LNK2019: unresolved external symbol 
__imp__timeBeginPeriod at 4 referenced in function _sim_os_ms_sleep_init
1>sim_timer.obj : error LNK2019: unresolved external symbol 
__imp__timeGetDevCaps at 8 referenced in function _sim_os_ms_sleep_init
1>MSVCRTD.lib(crtexew.obj) : error LNK2019: unresolved external symbol 
_WinMain at 16 referenced in function ___tmainCRTStartup
1>Debug\pdp8.exe : fatal error LNK1120: 23 unresolved externals
1>Build log was saved at "file://c:\Documents and 
Settings\nj7p.DOGPATCH\My Documents\driveC\Software\Visual 
8\Emulators\SIMH-3.7.3\PDP8\Debug\BuildLog.htm"
1>pdp8 - 25 error(s), 70 warning(s)
========== Build: 0 succeeded, 1 failed, 0 up-to-date, 0 skipped ==========



Jason Stevens wrote:
> You are missing all the sim_ c files....
>  
> I'm assuming Visual 8 is VC 2005?
>
> On Feb 5, 2008 4:03 PM, Bill Beech (NJ7P) <nj7p at nj7p.org 
> <mailto:nj7p at nj7p.org>> wrote:
>
>     I am attempting to build the pdp8 emulator under Visual 8.  I used the
>     code conversion wizard to build the project, and it all compiles,
>     but I
>     must be missing a library as I get the following errors in the link:
>
>     1>sim_ether.obj : error LNK2019: unresolved external symbol
>     __imp__htonl at 4 referenced in function _eth_add_crc32
>     1>sim_sock.obj : error LNK2001: unresolved external symbol
>     __imp__htonl at 4
>     1>sim_ether.obj : error LNK2019: unresolved external symbol
>     __imp__ntohs at 4 referenced in function _eth_packet_trace_ex
>     1>sim_sock.obj : error LNK2019: unresolved external symbol
>     __imp__WSAGetLastError at 0 referenced in function _sim_err_sock
>     1>sim_sock.obj : error LNK2019: unresolved external symbol
>     __imp__socket at 12 referenced in function _sim_create_sock
>     1>sim_sock.obj : error LNK2019: unresolved external symbol
>     __imp__WSAStartup at 8 referenced in function _sim_create_sock
>     1>sim_sock.obj : error LNK2019: unresolved external symbol
>     __imp__listen at 8 referenced in function _sim_master_sock
>     1>sim_sock.obj : error LNK2019: unresolved external symbol
>     __imp__bind at 12 referenced in function _sim_master_sock
>     1>sim_sock.obj : error LNK2019: unresolved external symbol
>     __imp__htons at 4 referenced in function _sim_master_sock
>     1>sim_sock.obj : error LNK2019: unresolved external symbol
>     __imp__connect at 12 referenced in function _sim_connect_sock
>     1>sim_sock.obj : error LNK2019: unresolved external symbol
>     __imp__ntohl at 4 referenced in function _sim_accept_conn
>     1>sim_sock.obj : error LNK2019: unresolved external symbol
>     __imp__accept at 12 referenced in function _sim_accept_conn
>     1>sim_sock.obj : error LNK2019: unresolved external symbol
>     ___WSAFDIsSet at 8 referenced in function _sim_check_conn
>     1>sim_sock.obj : error LNK2019: unresolved external symbol
>     __imp__select at 20 referenced in function _sim_check_conn
>     1>sim_sock.obj : error LNK2019: unresolved external symbol
>     __imp__recv at 16 referenced in function _sim_read_sock
>     1>sim_sock.obj : error LNK2019: unresolved external symbol
>     __imp__send at 16 referenced in function _sim_write_sock
>     1>sim_sock.obj : error LNK2019: unresolved external symbol
>     __imp__WSACleanup at 0 referenced in function _sim_close_sock
>     1>sim_sock.obj : error LNK2019: unresolved external symbol
>     __imp__closesocket at 4 referenced in function _sim_close_sock
>     1>sim_sock.obj : error LNK2019: unresolved external symbol
>     __imp__ioctlsocket at 12 referenced in function _sim_setnonblock
>     1>sim_timer.obj : error LNK2019: unresolved external symbol
>     __imp__timeGetTime at 0 referenced in function _sim_os_msec
>     1>sim_timer.obj : error LNK2019: unresolved external symbol
>     __imp__timeEndPeriod at 4 referenced in function _sim_timer_exit
>     1>sim_timer.obj : error LNK2019: unresolved external symbol
>     __imp__timeBeginPeriod at 4 referenced in function _sim_os_ms_sleep_init
>     1>sim_timer.obj : error LNK2019: unresolved external symbol
>     __imp__timeGetDevCaps at 8 referenced in function _sim_os_ms_sleep_init
>     1>MSVCRTD.lib(crtexew.obj) : error LNK2019: unresolved external symbol
>     _WinMain at 16 referenced in function ___tmainCRTStartup
>     1>Debug\pdp8.exe : fatal error LNK1120: 23 unresolved externals
>
>     Thanks!
>
>     Bill
>
>
>     _______________________________________________
>     Simh mailing list
>     Simh at trailing-edge.com <mailto:Simh at trailing-edge.com>
>     http://mailman.trailing-edge.com/mailman/listinfo/simh
>
>




More information about the Simh mailing list